CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 竞赛 抢答器 设计

搜索资源列表

  1. shuzidianzi

    2下载:
  2. 一、设计题目:智力竞赛抢答器 (有详细的WORD文档报告,还有在EWB中的电路图)-a design topics : Contest (a detailed report of the files, also in the circuit EWB)
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:44517
    • 提供者:孙锋志
  1. 20054863

    0下载:
  2. 设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是So、S1、S2、S3、S4、S5、S6、S7。 ② 给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 ③ 抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时蜂鸣器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:4810
    • 提供者:yuanyuan
  1. 用VHDL语言实现四人智力竞赛抢答器的设计

    0下载:
  2. 1、用feng模块将选手按下按键信号输出高电平给锁存模块lockb,进行锁存的同时发出aim信号实现声音提示,并使count模块进行答题时间的倒计时,在计满100妙后送出声音提示; 2、用ch41a模块将抢答结果转换为二进制数; 3、用sel模块产生数码管片选信号; 4、用ch42a模块将对应数码管片选信号,送出需要的显示信号; 5、用七段译码器dispa模块进行译码。
  3. 所属分类:VHDL编程

  1. 抢答反应测试仪

    0下载:
  2. 设计一个用于智力竞赛的抢答器计时器,同时能测试人的反应时间。按启动键,测试开始,2个八段码倒计时开始(如从10秒或20秒倒计时),在随机时间内点亮抢答允许灯,参赛双方分别按各自的抢答按钮参与抢答。一旦其中一方按下按钮,相应的LED显示灯亮,此时若其他按钮按下均无效。若一直计数到零,均无人按下按钮,则抢答结束(以后再按抢答钮无效)。按测试钮,在PC机上显示反应时间XX.XX秒。
  3. 所属分类:系统编程

  1. Digital_Competition_Responder

    0下载:
  2. 设计一个数字式竞赛抢答器,可以判断第一抢答者,并具备计分功能。-Competition to design a digital answering device, can determine the first answer in person, and have the scoring function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:614266
    • 提供者:刘智虎
  1. qiangda

    0下载:
  2. l、设计用于竞赛的四人抢答器,功能如下: (1) 有多路抢答器,台数为四; (2) 具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警; (3) 能显示超前抢答台号并显示犯规警报; (4) 能显示各路得分,并具有加、减分功能; 2、系统复位后进入抢答状态,当有一路抢答键按下时,该路抢答信号将其余各路抢答封锁,同时铃声响,直至该路按键松开,显示牌显示该路抢答台号。 3、用VHDL语言设计符合上述功能要求的四人抢答器,并用层次设计方法设计该电路 -l, d
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:305207
    • 提供者:hugh
  1. qiangdaq

    0下载:
  2. 利用微机设计一个竞赛抢答器系统,该抢答系统具有七个人的抢答控制和答题时的倒计时显示功能。-The use of microcomputer Answer contest to design a system, the system has seven Answer Answer personal control and answer at the time of the countdown display.
  3. 所属分类:Other systems

    • 发布日期:2017-04-17
    • 文件大小:334407
    • 提供者:xingtao
  1. bbb

    0下载:
  2. 基于VHDL的数字竞赛抢答器的设计及其仿真-Based on the figure competition Answer VHDL Design and Simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:87233
    • 提供者:孙天雨
  1. qda

    1下载:
  2. 三路智力竞赛抢答器,利用VHDL设计抢答器的各个模块,并使用EDA 工具对各模块进行仿真验证。智力竞赛抢答器的设计分为四个模块:鉴别锁存模块;答题计时模块;抢答计分模块以及扫描显示模块。把各个模块整合后,通过电路的输入输出对应关系连接起来。设计成一个有如下功能的抢答器: (1)具有第一抢答信号的鉴别锁存功能。在主持人发出抢答指令后,若有参赛者按抢答器按钮,则该组指示灯亮,数码管显示出抢答者的组别。同时电路处于自锁状态,使其他组的抢答器按钮不起作用。 (2)具有计分功能。在初始状态时,主持
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:234821
    • 提供者:menglj
  1. qdq

    0下载:
  2. l、设计用于竞赛的四人抢答器,功能如下: (1) 有多路抢答器,台数为四; (2) 具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警; (3) 能显示超前抢答台号并显示犯规警报; (4) 能显示各路得分,并具有加、减分功能; 2、系统复位后进入抢答状态,当有一路抢答键按下 [qingdaqi.rar] - 四路抢答器,超时报警,提前抢答报警,计分等 -l, designed for race four Responder, functions as follows:
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:1688
    • 提供者:杰克
  1. Intelligence-contest-for-vies

    0下载:
  2. 智能竞赛抢答器的FPGA实现,包括设计要求,设计思路,设计源代码,设计仿真结果。-Responder Smart contest the FPGA implementation, including design requirements, design ideas, design source code, design and simulation results.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-27
    • 文件大小:196608
    • 提供者:csh
  1. qiangdaqi

    0下载:
  2. 多路抢答器 VHDL语言设计 抢答器是各类竞赛常用的仪器设备之一,它能快速、准确地判决并显示出第一抢答者。本文作者采用MAXPLUSII 软件和MAX7000S芯片,提出了一种四路抢答器的设计方案。该方案具有判断准确、硬件电路简单、容易实现等优点。 关键字:抢答器 竞争 RS触发器 EDA -Multiple Responder Responder VHDL language design competition of various kinds of equipment used, i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:80608
    • 提供者:王天宇
  1. 8luqiangdaqi

    0下载:
  2. 以单片机为核心,设计一个8位竞赛抢答器:同时供8名选手或8个代表队比赛,分别用8个按钮S0~S7表示。-Microcontroller as the core design of an 8 Competition Responder: for 8 players or eight team competition, respectively, using 8 button the S0 ~ S7.
  3. 所属分类:SCM

    • 发布日期:2017-04-27
    • 文件大小:149426
    • 提供者:王俊
  1. Four-quiz-Responder

    0下载:
  2. 运用VHDL语言实现四路智力竞赛抢答器。抢答器的主要功能模块是是:1、对第一抢答信号的鉴别和锁存功能;2、计分功能。3、数码显示  ;4、答题限时功能。在本设计主要讲述抢答、计分和警告的功能。-Using VHDL language quiz four Responder.Responder main function modules are: 1, for the first answer to identify and latch signal 2, scoring functio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:263619
    • 提供者:YCZ
  1. second

    0下载:
  2. 利用Verilog HDL语言进行数字系统设计实现秒表的设计,涵盖原理图设计、文本设计以及进行波形仿真,并有对应的报告。报告中还包括BCD/7段译码集成电路74LS47仿真实验、单管分压式稳定工作点偏置电路仿真实验和8路智力竞赛抢答器电路设计-Use Verilog HDL language design and implementation of digital systems design stopwatch, covering schematic design, text, design,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:466275
    • 提供者:文闯
  1. jingsai

    0下载:
  2. 微机原理课程实验应用,竞赛抢答器的设计,文本档-Microcomputer Principle Course Laboratory applications, Contest Responder design, text files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1609
    • 提供者:gl
  1. answer4

    0下载:
  2. 数字式竞赛抢答器 设计一个可容纳四组参赛者同时抢答的数字抢答器 1.能判断第一抢答者并报警指示抢答成功,其他组抢答均无效 2.设计倒计时时钟,若提前抢答则对相应的抢答组发出警报-Digital Race Responder Design a can hold four groups of participants at the same time answering the digital answering machine 1. To determine the firs
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5501363
    • 提供者:韩大马
  1. 单片机程序

    0下载:
  2. 1) 设计一个八位竞赛抢答器:同时供8位选手或8个代表队比赛,分别用8个按钮S0-S7表示。 2) 设置一个系统清除和抢答器控制开关S,开关由主持人控制。 3) 抢答器具有锁存与显示功能,即选手按按钮,锁存相应的编号,抢答选手的编号一直保持到主持人清楚为止。(1) design an eight contest buzzer: 8 players or 8 teams, respectively, with 8 buttons, s0-s7. 2) set up a system cl
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:55296
    • 提供者:浅笑哈哈
  1. 8人抢答器

    2下载:
  2. 基于AT89C51单片机设计制作一个抢答器,具体要求如下: 1、 设计一个智力竞赛抢答器,可同时共8名选手参加比赛,编号为1、2、3、4、5、6、7、8,各用一个按键。 2、 用一个按键作为主持人总开关,用来控制系统的清零和抢答的开始。 3、 抢答器开始后,数码管开始10秒倒计时,若有选手按动抢答按钮,在LED数码管上显示选手的编号,同时灯亮且伴随声音提示;倒计时完成后,选手不能在抢答;有人抢答成功后,要禁止其他选手抢答;最先抢答选手的编号一直保留到主持人将系统清零。(Based on A
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2020-12-15
    • 文件大小:107520
    • 提供者:zaxqscwdv
  1. 六人抢答器

    1下载:
  2. 数字式竞赛抢答器主要由74 系列集成电路组成。该抢答器除具有基本的抢答功能外, 还能实现定时、报警和抢答信号的鉴别和首个抢答锁存等抢答相关的多功能。在初始状态主持人掌握整个抢答电路的复位键个开始抢答键,在抢答开始的时候,主持人先将电路复位,接着按下开始键,即可进入30s常规抢答;若在按下开始键之前抢答者按下按钮,电路则会报警(所对应的组的led灯将会点亮),电路将自动扣去提前抢答的组的10分。在有人抢答到后,电路会锁存第一个抢答到的组号,并进入120s答题时间,答对加分,答错则扣分。6个组别原始
  3. 所属分类:其他

    • 发布日期:2020-09-06
    • 文件大小:1404928
    • 提供者:LYRhang
« 12 »
搜珍网 www.dssz.com